industry

SEMICONDUCTOR PROCESSING

The processing and manufacture of semiconductor wafers usually involve a sequence of multiple photolithographic and chemical processes.

The following semiconductor processing equipment are categorized in the following categories: Bonding, Diffusion, Electroplating, Etching, Lithography, Thin Film and Wet tools.

Please click on the category titles below to find out more about the equipment in each category. Please refer to the list of equipment here

Bonding

Bonding Equipment
Clockwise from Top Left: Wafer Bonder, Wafer Cleaning System, Advance Vacuum Bond Tester

Advance Vacuum Bond Tester

The Advance Vacuum Bond Tester is a standalone testing system that allow operators to test for wafer bond integrity prior to loading them into destined processing tool. The 8" tool allows both single wafer loading and wafer cassette loading.

It has a PLC (Programmable Logic Controller) for cycle execution ensuring repeatable and reliable performance. As it is a simple setup, the system can either be stationary or be wheeled around various stations.

Wafer Bonder
The Wafer Bonder has fully automated processing with manual loading and unloading coupled with external cooling stations. It is capable of bonding 6 or 8 inch wafers using different bonding mechanisms under inert atmosphere.

Fully compatible with EVG 640 aligner for wafer bonding alignment. It uses a turbomolecular pumping system & PC-based control, with programmable recipe editing & automatic data logging.

Wafer Cleaning System
The semi-automated single wafer cleaning system is a fully software controlled cleaning process. It employs diluted chemicals for wafer cleaning and has high efficiency cleaning using 1MHz megasonic nozzles. Prevents cross contamination from back to front side.

Diffusion

Diffusion Resized
Clockwise from Top Left: MRL Furnace, Thermolyn Furnace, Stackable LCC/LCD Clean Process Ovens, High Temperature Vacuum Oven

High Temperature Vacuum Oven
The High Temperature Vacuum Oven is equipped with a full chamber laminar flow system in order to introduce pre-heated nitrogen during curing / annealing. The vacuum introduced at the chamber floor creates the nitrogen laminar flow and removes particles in order to prevent oxidation or residue contamination. Room temperature nitrogen flows into the chamber at the end of the process to cool the wafers before removal.

Stackable LCC/LCD Clean Process Ovens
The LCC/LCD stackable clean process ovens save valuable floor space and offer various applications, including die-bond curing and other semiconductor packaging processes, depyrogenation, sterilization and drying for life sciences.

Thermolyn Furnace
The Thermolyne Furnace allows control of temperature increase and decrease, as well as dwelling temperature. It is ideal for polyimide curing, passivation dielectric curing, and metal annealing.

MRL Furnace
Horizontal furnace for processing 8” wafers with automatic boat loader. Wafers are manually put onto boats by the operator.


Electroplating

Electroplating Equipment
3D Packaging Electroplating System

3D Packaging Electroplating System
Provides total coverage for wafer-level packaging (WLP) applications. Enhanced process control through advanced Chamber design and Vacuum pre-wet modules. Provides more stable bath due to Membrane technology and low contamination due to all-in-one system.

Copper Electroplating System
The system is able to do Copper Electroplating for Void-free TSV filling with enhanced process control through advanced Chamber design and Vacuum pre-wet modules. It also provides a more stable bath due to membrane technology and overburden control.


Etching

Etching resized
Wafer Cleaner System using wet etching process

Dielectric Reactive Ion Etcher
The Dielectric Reactive Ion Etcher has a CMOS compatible silicon wafer etch processing system. It is capable of processing 200mm diameter semi-standard silicon wafers with incoming particles of less than 50 at 0.2um.

Inductive Coupled Plasma Reactive Ion Etching System
The ICP-RIE (Inductive Coupled Plasma Reactive Ion Etching) system consists of 1 etching chamber and 1 loadlock chamber equipped with auto handling for wafer transfer. Chlorine or Fluorine-based etching system equipped with in-situ endpoint detection technique for plasma dry etching.

Ion Milling System
The Ion milling system consists of 1 etching chamber and 1 loadlock chamber equipped with auto handling for wafer transfer. Argon-based etching by physical ion bombardment etch equipped with in-situ SIMS endpoint detection technique. Capable of angled etching for profile control.

Reactive Ion Etching System
The Reactive Ion Etching system consists of 4 etching chambers, 1 transfer chamber and 3 cassette loading stations. It is equipped with automated robotic handling for wafer transfer, in-situ endpoint detection technique and electrostatic chuck capability for plasma dry etching.

Resist Ashing System
The Resist Ashing system consisting of dual ashing chambers, 1 coolplate and cassette loading stations equipped with automatic robotic handling for wafer transfer. It employs downstream plasma by microwave to generate a reduced ion density plasma to selectively remove photoresist from wafer surface. It is a high throughput tool.

Single Wafer Plasma Asher
The Single Wafer Plasma Asher is fully automated with planar microwave source. It is able to achieve high volume resist stripping after Implant, Dry-Etch, Wet etch etc. for 200mm to 300mm wafer fabrications.

Wafer Cleaner
The Wafer Cleaner has four separate process chambers with single wafer cleaning capabilities as well as four chemical delivery units that provide four different cleaning chemistries.

Front side DIW rinse and backside DIW rinse are available for cleaning, and unique Sahara IPA/N2 wafer drying is available on all four chambers. Nitrogen can be dissolved in water for wafer cleaning.

Spray Etcher
The Spray Etcher allows spray etching of metal stack layers in a single wafer processing environment, for both 200mm and 300mm wafers.

Wafer is loaded manually for processing. Four etching chemistries are available on the tool at any given time, and two of the etching chemistries are reclaimable. The etching of wafers can be captured and recorded through video.

Anodizing Wet Bench
The anodizing wet bench is used for silicon anodizing. It is composed of an anodizing bath and Quick Dump Rinse Tanks (QDR). For 200mm wafers only.

Solvent Wet Bench
The Solvent Wet Bench has three separate process tanks with batch wafers cleaning capabilities. Two chemical delivery units provide two different cleaning chemistries.

Front side DIW rinse and backside DIW rinse are available for cleaning and IPA/N2 wafer drying is available on all four chambers. It also has semi-auto robot wafer-carrier handling.

Copper Chemical-Mechanical Planarization (CMP) Tool
The Cu CMP tool creates a flat wafer surface using both chemicals and abrasive action. During the process, it is able to continuously measure the film thickness at multiple points across the wafer and adjust the polishing force accordingly for consistent surface flatness.

Manual Coater
The manual coater consists of a Coating Module and Hot Plate, with a semi-auto dispense system using a syringe mounted on a fixture arm.

HF Vapour Release System
The HF/Alcohol CET process employs a reduced pressure, gas phase isotropic etch for removal of sacrificial SiO2 layers to release flexures or other MEMS devices.

The process is generally carried out at pressures between 75 and 350 torr providing controlled, residue-free etching, mitigating runaway or uncontrolled conditions.

Xenon Difluoride Etching Systems
Isotropic etching of silicon using xenon difluoride is an ideal solution for releasing MEMS devices. XeF2 shows nearly infinite selectivity to silicon over almost all standard semiconductor materials including photoresist, silicon dioxide, silicon nitride and aluminum. Being a vapor phase etchant, XeF2 avoids many of the problems typically associated with wet or plasma etch processes.


Lithography

Lithography resized
Coat and Develop Track for lithographic processing

Deep UV (DUV) Stepper System
Production-grade lithography exposure for 0.25um technology node is able to pattern 250nm features over an exposure area of 22mm x 22mm with conventional photo processes. EX5 uses a KrF Cymer 5000 series excimer laser source with increased intensity output of 3500 W/m2 for high wafer throughput. Equipped with cassette loading stations for automated robotic handling.

Coat and Develop Track
The Coat and Develop track is able to perform thick photo resist and polyimide coating, as well as developing in one integrated system. Features include enhanced substrate handling, stacked thermal modules and submicron processing (down to 0.18um) capabilities.

Process control and contamination control capabilities make it ideal for high productivity submicron manufacturing environments in DUV setting. Tracks for 200mm wafers have automated cassette loading and tracks for 200mm and 300mm wafers are able to handle both concurrently without mechanical changeover.

Mask Aligner System
The Mask Alignment System transfers the required pattern onto the wafer. It is optimized for high throughput and high resolution top and bottom side splitfield with double side alignment capability for soft/ hard/vacuum contact/proximity exposure. Equipped with manual alignment stage of 500 nm resolution.

Stepper System
The Stepper uses a projection lens to project an image of a circuit onto a photo-resistive coating on the wafer, which hardens according to the shape of the casted light. Excess unhardened material is then dissolved and removed, leaving behind the circuit paths on the wafer.

Track System
The Track System is a coater/developer for 200mm & 150mm wafers, with a high-speed transfer system for higher throughput. The system also supports DUV processing through the use of a ULPA chemical filter and a high precision oven.

Laminator
The Hot Roll Laminators laminate Dry Film Photo resist to inner layers, multi-layer, double sided plated through-hole, and other specialized substrate materials.

Resist Coater
The resist spin coater uses centrifugal force of the substrate spinning to distribute resist over the substrate in order to coat it. It is simple to operate with fully programmable process parameters equipped with an upper enclosure for open bowl coating. Equipped with quick exchange system allows for easy change over between wafer sizes.


Thin Film

Thin Film resized
Curing and Annealing Furnace for thin film substrates

ICP-CVD System
ICP-CVD (Inductive Coupled Plasma Chemical Vapor Deposition) consisting of 1 deposition chamber and 1 loadlock chamber equipped with auto handling for wafer transfer.

PVD Systems
    There are multiple PVD systems available:
  • Plasma-Enhanced CVD (PECVD) systems which can deposit SiO2, SiN, a-Si & PETEOS films at a lower temperature compared to other CVD tools.
  • Cluster PVD System with 2 main PVD chambers capable of holding up to 10 target configuration per chamber.
  • PVD System for Metal and Metal Oxide Materials, with rotating substrate deposition.
  • PVD System for Al, Ta, TaN, Cu, Ti, TiN.
  • PVD System for TiW, Cu, Ir, Ag, SiO2, GeTeSb.
  • PVD System for Aluminum nitride film
  • PVD System for Atomic Layer Deposition
  • PVD System for Under Bump Metallization
Dual Bean Ion Assisted Deposition (IAD) system
IAD (Ion Assisted Deposition) system consisting of 1 deposition ion source, 1 etching ion source for cleaning and 1 loadlock chamber equipped with auto handling for wafer transfer. Target configuration enables 2 targets to be mounted for multiple layers stack deposition. Reactive sputtering with N2 or O2 for oxide and nitride deposition.

Single Wafer Processing Evaporator
Single wafer processing E-beam evaporator equipped with 6-pocket crucible indexer thus enabling up to 6 different types of layer stack deposition in a single run.

Inductive Coupled Plasma (ICP) Deposition System
The ICP (Inductive Coupled Plasma) Plasma-enhanced atomic layer deposition tool is equipped with a single chamber with liquid/solid/gas/ozone plasma precursor holding up to 12 sources with 6 separate inlets. This high precision thin film coating can be used to deposit conformal, uniform films on substrates with ultra-high aspect ratios features.

Thermal Atomic Layer Deposition (ALD) System
The thermal ALD system is equipped with a single chamber with 3 heated precursors and 2 non-heated precursors for uniform conformal coating on substrates with ultra-high aspect ratios features.

Rapid Thermal Processor
Equipped with a single chamber with heater control for controlled thermal ramping. Annealing can be done under vacuum or atmospheric environment.

Curing and Annealing Furnace
Vertical batch furnace with leading productivity and ability to switch between 200 and 300 mm production with no downtime. Enhanced process control and reduced defects through advanced across-flow chamber design. Rapid temperature ramping and cooling enables reduced thermal budget. Applications include Copper and Metal Anneal, Polyimide Curing and Passivation Dielectric Curing.


Wet Tools

Chemical Mechanical Polishing System
Single side polishing system consisting of a single polish head for smoothing topology and reducing material thickness on the surface of a wafer.

Surface Particle Cleaner
The system is composed of multiple stations which operate together to achieve processing requirements for wafer cleaning. This include wet and dry cassette stations for automated wafer loading and unloading respectively, 2 brush stations performed on PVA brushes using DIW and a spin station for final DIW rinse followed by a spin dry using heater lamp.

Acid Bench
Acid bench for 200mm wafer processing. Using 200mm carrier (max loading 8 wafers) and chemical tanks consisting of 2 PFA tanks, 2 Quartz tanks and 2 DIW tanks. Chemical tanks are with heater. Static chemical tanks with N2 Bubbler. DIW tanks with programmable QDR/OF functions.



EQUIPMENT ENQUIRY